SHANGHAI TOPS GROUP CO., LTD

21 ka Tuig nga Kasinatian sa Paggama

Giunsa paggamit ang makina sa pagpuno sa auger powder

Adunay mga semi-awtomatiko ug awtomatiko nga mga makina sa pagpuno sa auger powder:
Giunsa paggamit ang usa ka semi-awtomatikong makina sa pagpuno sa auger?

Pagpangandam:

I-plug ang power adapter, i-on ang power ug dayon i-turn sa "main power switch" clockwise 90 degrees aron ma-on ang power.

hulagway1

Mubo nga sulat: Ang aparato eksklusibo nga adunay gamit nga tulo ka hugna nga five-wire socket, tulo ka hugna nga live line, usa ka hugna nga null nga linya, ug usa ka hugna nga linya sa yuta.Pag-amping nga dili mogamit sa sayup nga mga wiring o mahimo’g moresulta kini sa pagkadaot sa mga sangkap sa kuryente o pagkakuryenti.Sa dili pa magkonektar, siguroha nga ang suplay sa kuryente motakdo sa outlet sa kuryente ug nga ang chassis lig-on nga naka-ground.(Kinahanglang konektado ang usa ka linya sa yuta; kung dili, dili lamang kini dili luwas, apan hinungdan usab kini nga daghang pagpanghilabot sa signal sa pagkontrol.) Dugang pa, mahimo’g ipasadya sa among kompanya ang usa ka hugna o tulo ka hugna nga 220V nga suplay sa kuryente alang sa usa ka awtomatik nga packaging machine.
2.Ipapilit ang gikinahanglan nga tinubdan sa hangin sa bukana: pressure P ≥0.6mpa.

hulagway2

3. I-rotate ang pula nga "Emergency stop" nga butones sa tuo nga tuo aron ang buton molukso.Dayon makontrol nimo ang suplay sa kuryente.

hulagway3

4.Una, buhata ang usa ka "function test" aron masiguro nga ang tanan nga mga sangkap anaa sa maayong pagkahan-ay sa pagtrabaho.

Pagsulod sa kahimtang sa pagtrabaho:
1. I-on ang power switch aron makasulod sa boot interface (Figure 5-1).Ang screen nagpakita sa logo sa kompanya ug may kalabutan nga impormasyon.Pag-klik bisan asa sa screen, pagsulod sa interface sa pagpili sa operasyon (Figure 5-2).

hulagway4

2. Ang Operation Selection interface adunay upat ka mga opsyon sa operasyon, nga adunay mosunod nga mga kahulugan:

Pagsulod: Pagsulod sa nag-unang operating interface, nga gipakita sa Figure 5-4.
Setting sa Parameter: Ibutang ang tanan nga teknikal nga mga parameter.
Function Test: Interface sa Function Test aron masusi kung naa ba sila sa normal nga kahimtang sa pagtrabaho.
Pagtan-aw sa sayup: Tan-awa ang kahimtang sa sayup sa aparato.
Function Test:
I-klik ang "Function Test" sa operation selection interface aron makasulod sa function test interface, nga gipakita sa Figure 5-3.Ang mga buton sa kini nga panid tanan nga mga buton sa pagsulay sa function.Pag-klik sa usa niini aron masugdan ang katugbang nga aksyon, ug i-klik pag-usab aron mohunong.Sa inisyal nga pagsugod sa makina, isulod kini nga panid para magpadagan ug function test.Pagkahuman lamang niini nga pagsulay mahimo’g makaandar ang makina nga normal, ug makasulod kini sa pagsulay sa shakedown ug pormal nga trabaho.Kung ang katugbang nga sangkap dili molihok sa husto, pag-troubleshoot una, dayon ipadayon ang trabaho.

hulagway5

"Pagpuno sa": Human nimo ma-install ang auger assembly, sugdi ang pagpuno sa motor aron masulayan ang kondisyon sa pagdagan sa auger.
"Mixing ON": Sugdi ang mixing motor aron sulayan ang kahimtang sa pagsagol.Kung ang direksyon sa pagsagol sakto (kung dili, baliha ang bahin sa suplay sa kuryente), kung adunay kasaba o pagbangga sa auger (kung naa, hunong dayon ug pag-troubleshoot).
"Pagpakaon ON": Sugdi ang pagsuporta sa feeding device.
"Valve ON": Sugdi ang solenoid valve.(Kini nga buton gitagana alang sa packaging machine nga adunay mga pneumatic device. Kung wala, dili nimo kinahanglan nga i-set kini.)
Setting sa Parameter:
I-klik ang "Setting parameter" ug isulod ang password sa window sa password sa interface sa setting sa parameter.Una, ingon sa gipakita sa Figure 5-4, isulod ang password (123789).Human sa pagsulod sa password, ikaw dad-on ngadto sa device parameter setting interface.(Figure 5-5) Ang tanan nga mga parameter sa interface gitipigan sa katugbang nga mga pormulasyon sa parehas nga oras.

hulagway6

Setting sa pagpuno: (Figure 5-6)
Filling mode: Pilia ang volume mode o weight mode.
Kung gipili nimo ang volume mode:

hulagway7

Auger Speed: Ang katulin diin ang pagpuno sa auger nagtuyok.Kon mas paspas kini, mas paspas nga mapuno ang makina.Base sa fluidity sa materyal ug sa pag-adjust sa proporsiyon niini, ang setting mao ang 1–99, ug girekomendar nga ang screw speed maoy mga 30.
Paglangan sa Valve: Panahon sa paglangan sa dili pa masira ang balbula sa auger.
Sample nga Paglangan: Ang gidugayon sa panahon nga ang timbangan makadawat sa gibug-aton.
Aktuwal nga Timbang: Kini nagpakita sa gibug-aton sa timbangan sa niini nga higayon.
Sample nga Timbang: Timbang nga gibasa pinaagi sa internal nga programa.

Kung gipili nimo ang volume mode:

hulagway8

Dali nga pagpuno sa tulin:ang rotating speed sa auger alang sa paspas nga pagpuno.

Hinay nga tulin sa pagpuno:ang rotating speed sa auger alang sa hinay nga pagpuno.

Paglangan sa pagpuno:ang panahon nga gikinahanglan aron mapuno ang usa ka sudlanan human kini masugdan.

Sample Delay:Ang gidugayon sa panahon nga ang timbangan makadawat sa gibug-aton.

Aktuwal nga Timbang:Nagpakita sa gibug-aton sa timbangan niining higayona.

Sample nga Timbang:Timbang nga basahon pinaagi sa internal nga programa.

Paglangan sa balbula:ang oras sa paglangan aron mabasa sa sensor sa timbang ang gibug-aton. 

Pagsagol set :(Hulagway 5-7)

hulagway9

Mode sa pagsagol: pagpili tali sa manual ug awtomatiko.
Auto: ang makina nagsugod sa pagpuno ug pagsagol sa parehas nga oras.Kung nahuman na ang pagpuno, ang makina awtomatiko nga mohunong sa pagsagol pagkahuman sa pagsagol sa "panahon sa paglangan".Ang kini nga mode angay alang sa mga materyales nga adunay maayo nga pagka-likido aron mapugngan sila nga mahulog tungod sa pagsagol sa mga vibrations, nga moresulta sa usa ka dako nga pagtipas sa gibug-aton sa packaging.Kung ang oras sa pagpuno mas gamay kaysa sa pagsagol mao ang "panahon sa paglangan", ang pagsagol magpadayon nga wala’y paghunong.
Manwal: mano-mano ka nga magsugod o mohunong sa pagsagol.Magpadayon kini sa pagbuhat sa parehas nga aksyon hangtod mabag-o nimo ang imong gihunahuna.Ang kasagarang mode sa pagsagol kay manwal.
Set sa pagpakaon: (Figure 5-8)

hulagway10

Mode sa pagpakaon:pagpili tali sa manwal o awtomatik nga pagpakaon.

Auto:kung ang sensor sa lebel sa materyal dili makadawat bisan unsang signal sa panahon sa "panahon sa paglangan" sa pagpakaon, hukman kini sa sistema ingon usa ka ubos nga lebel sa materyal ug magsugod sa pagpakaon.Ang manual feeding nagpasabot nga manu-mano ka nga magsugod sa pagpakaon pinaagi sa pagpaandar sa feeding motor.Ang naandan nga mode sa pagpakaon awtomatiko.

Panahon sa paglangan:Kung ang makina awtomatik nga nagpakaon tungod kay ang materyal nag-usab-usab sa mga balud nga nag-agay sa panahon sa pagsagol, ang sensor nga lebel sa materyal usahay makadawat sa signal ug usahay dili.Kung wala’y oras sa paglangan sa pagpakaon, ang motor sa pagpakaon kanunay nga magsugod, nga mosangpot sa kadaot sa sistema sa pagpakaon.

Scale set :(Hulagway 5-9)

hulagway11

Pag-calibrate sa Timbang:Kini ang nominal nga gibug-aton sa pagkakalibrate.Kini nga makina naggamit sa 1000 g nga gibug-aton.

Tare:sa pag-ila sa tanang gibug-aton sa timbangan isip gibug-aton sa sagbot.Ang "Actual weight" karon kay "0".

Mga lakang sa pag-calibrate

1) I-klik ang "Tare"

2) I-klik ang "Zero Calibration".Ang aktuwal nga gibug-aton kinahanglan nga ipakita nga "0".3) Ibutang ang 500g o 1000g nga gibug-aton sa tray ug i-klik ang "load Calibration".Ang gipakita nga gibug-aton kinahanglan nga nahiuyon sa gibug-aton sa mga gibug-aton, ug ang pagkakalibrate magmalampuson.

4) I-klik ang "save" ug kompleto na ang calibration.Kung imong i-klik ang "load Calibration" ug ang aktuwal nga gibug-aton dili uyon sa gibug-aton, palihug pag-recalibrate sumala sa mga lakang sa ibabaw hangtod nga kini makanunayon.(Timan-i nga ang matag buton nga gi-klik kinahanglan nga pugngan sa labing menos usa ka segundo sa dili pa buhian).

Tipigi:pagluwas ang naka-calibrate nga resulta.

Aktuwal nga gibug-aton: angAng gibug-aton sa butang sa timbangan gibasa sa sistema.

Gibutang ang alarm :(Hulagway 5-10)

hulagway12

+ Pagtipas: ang aktuwal nga gibug-aton mas dako kaysa target nga gibug-aton.Kung ang balanse molapas sa overflow, ang sistema maalarma.

-Pagtipas:ang aktuwal nga gibug-aton mas gamay kay sa target nga gibug-aton.Kung ang balanse molapas sa underflow, ang sistema maalarma.

Kakulang sa materyal:Ang mga sensor nga lebel sa materyal dili mobati nga materyal sa usa ka yugto sa panahon.Pagkahuman niining "dili kaayo materyal" nga oras, mahibal-an sa sistema nga wala’y materyal sa hopper ug busa alarma.

Motor Fault: Kung adunay problema sa mga motor, makita ang bintana.Kini nga function kinahanglan kanunay nga bukas.

Kasaypanan sa seguridad:Para sa open-type nga mga hopper, kung ang hopper dili sirado, ang sistema mag-alarma.Ang mga modular hoppers wala niini nga function.

Pag-pack nga Operating Procedure:

Palihug basaha pag-ayo ang mosunod nga seksyon aron mahibal-an ang bahin sa mga nag-unang operasyon sa pormal nga pagputos ug mga setting sa parameter.

Girekomenda nga gamiton ang volume mode kung parehas ang density sa materyal.

1. I-klik ang "Enter" sa Operation Selection Interface aron makasulod sa main operating interface.(Hulagway 5-11)

hulagway13

2. I-klik ang "Power ON," ug ang panid sa pagpili alang sa "Motor Set" mo-pop up, ingon sa gipakita sa Figure 5-12.Human nimo mapili ang matag motor nga on o off, i-klik ang "Back to Work page" nga buton aron maka-standby.

hulagway14

Figure 5-12 Motor Set Interface

Pagpuno sa motor:Pagsugod sa pagpuno sa motor.

Pagsagol sa motor:Pagsugod sa pagsagol sa motor.

Pagpakaon sa motor:Pagsugod sa pagpakaon sa motor.

3. I-klik ang "Formula" aron makasulod sa pahina sa pagpili ug setting sa pormula, sama sa gipakita saHulagway 5-13.Ang pormula mao ang lugar sa panumduman sa tanan nga mga klase sa pagbag-o sa pagpuno sa materyal sumala sa ilang tagsa-tagsa nga proporsyon, paglihok, gibug-aton sa packaging, ug mga kinahanglanon sa pagputos.Kini adunay 2 ka panid sa 8 nga mga pormula.Kung gipulihan ang materyal, kung ang makina kaniadto adunay rekord sa pormula sa parehas nga materyal, mahimo nimo dali nga tawagan ang katugbang nga pormula sa kahimtang sa produksiyon pinaagi sa pag-klik sa "Formula No."ug dayon i-klik ang "Kumpirma", ug dili na kinahanglan nga i-adjust pag-usab ang mga parameter sa device.Kung kinahanglan nimo nga magtipig og bag-ong pormula, pagpili og blangko nga pormula.I-klik ang "Formula No."ug dayon i-klik ang "Kumpirma" aron mosulod niini nga pormula.Ang tanan nga sunod nga mga parametro ma-save sa kini nga pormula hangtod nga mopili ka sa ubang mga pormula.

hulagway15

4. I-klik ang "+, -"sa "pagpuno plus"aron maayo ang pagpuno sa gidaghanon sa pulso. Pag-klik sa numero nga lugar sa bintana, ug ang interface sa pag-input sa numero mo-pop up. Mahimo nimo direkta nga i-type ang mga volume sa pulso. (Ang servo motor sa auger filler adunay 1 nga rotation sa 200 ka pulso. Pinaagi sa pag-ayo sa mga pulso, mahimo nimong i-adjust ang gibug-aton sa pagpuno aron makunhuran ang mga pagtipas.)

5. I-klik ang "Tare"aron mailhan ang tanan nga gibug-aton sa timbangan ingon nga gibug-aton sa tare. Ang gibug-aton nga gipakita sa bintana karon mao ang "0." Aron mahimo ang gibug-aton sa pakete nga gibug-aton sa net, ang gawas nga packing kinahanglan ibutang una sa weighing device ug dayon tare. Ang gipakita nga gibug-aton mao ang net nga gibug-aton.

6. I-klik ang numero nga lugar sa "Target nga Timbang" para tugotan nga mo-pop up ang number input window. Unya i-type ang target nga gibug-aton.

7. Tracking Mode, I-klik ang "Pagsubay" para mubalhin sa tracking mode.

Pagsubay: Niini nga paagi, kinahanglan nimong ibutang ang materyal sa pagputos nga napuno sa timbangan, ug ang sistema magtandi sa aktuwal nga gibug-aton sa target nga gibug-aton.Kung ang tinuud nga gibug-aton sa pagpuno lahi sa gipunting nga gibug-aton, ang mga volume sa pulso awtomatik nga motaas o mokunhod sumala sa mga volume sa pulso sa window sa numero.Ug kung walay pagtipas, walay pag-adjust.Ang mga volume sa pulso awtomatik nga mag-adjust sa matag higayon nga kini mapuno ug matimbang.

Walay Pagsubay: Kini nga mode wala maghimo ug awtomatikong pagsubay.Mahimo nimo nga arbitraryo nga timbangon ang materyal sa pagputos sa timbangan, ug ang mga volume sa pulso dili awtomatik nga mag-adjust.Kinahanglan nimo nga mano-mano nga i-adjust ang mga volume sa pulso aron mabag-o ang gibug-aton sa pagpuno.(Kini nga mode haom lamang alang sa lig-on kaayo nga packaging nga materyal. Ang pag-usab-usab sa mga pulso niini gamay ra, ug ang gibug-aton halos walay bisan unsa nga pagtipas. Kini nga paagi makatabang sa pagpalambo sa packaging efficiency.)

8."Package No." Kini nga bintana nag-una alang sa pagtipon sa mga numero sa pagputos. Ang sistema nagtipig og usa ka rekord sa matag higayon nga kini mapuno. Kung kinahanglan nimo nga hawanan ang natipon nga numero sa pakete, i-klik ang "I-reset ang Counter,"ug ang ihap sa pagputos matangtang.

9."Pagsugod sa Pagpuno" Ubos sa kondisyon sa "Filling motor ON," i-klik kini kausa ug ang filling auger motuyok kausa aron mahuman ang usa ka pagpuno. Kini nga operasyon adunay parehas nga resulta sa pagpaubos sa footswitch.

10. System Prompt "Sistema nga nota." Kini nga bintana nagpakita sa sistema sa alarma. Kung ang tanan nga mga sangkap andam na, kini magpakita sa "System Normal". dako kaayo tungod sa kakulang sa phase o langyaw nga mga butang nga nag-ali niini, ang "Fault Alarm" nga bintana mo-pop up. Ang device adunay function sa pagpanalipod sa motor gikan sa over-current. Busa, kinahanglan nimo nga pangitaon ang hinungdan sa over-current Human lamang sa pag-troubleshoot nga ang makina makapadayon sa pagtrabaho.

hulagway16

Girekomenda nga gamiton ang pamaagi sa pagtimbang kung ang densidad sa materyal dili parehas ug gusto nimo ang taas nga katukma.

1. I-klik ang "Enter" sa Operation Selection Interface aron makasulod sa main operating interface.(Hulagway 5-14)

hulagway17

Aktuwal nga gibug-aton:Ang aktuwal nga gibug-aton gipakita sa digital box.

Ang gibug-aton sa sample:Ang digital box nagpakita sa gibug-aton sa miaging lata.

Target nga gibug-aton:I-klik ang kahon sa numero aron masulod ang target nga gibug-aton.

Paspas nga pagpuno sa gibug-aton:i-klik ang numero nga kahon ug itakda ang gibug-aton sa paspas nga pagpuno.

Hinay nga pagpuno sa gibug-aton:i-klik ang digital box aron mabutang ang gibug-aton sa hinay nga pagpuno, o i-klik ang wala ug tuo sa digital box aron maayo ang gibug-aton.Ang maayo nga pag-tune nga kantidad sa pagdugang ug pagkunhod kinahanglan ibutang sa interface sa setting sa pagpuno.

Kung nahibal-an sa sensor sa gibug-aton nga ang gitakda nga paspas nga pagpuno sa gibug-aton naabot, ang hinay nga pagpuno nga gibug-aton mausab, ug ang pagpuno mohunong kung ang gibug-aton sa hinay nga pagpuno maabot.Kasagaran, ang gibug-aton nga gitakda alang sa paspas nga pagpuno mao ang 90% sa gibug-aton sa pakete, ug ang nahabilin nga 10% nahuman pinaagi sa hinay nga pagpuno.Ang gibug-aton nga gitakda alang sa hinay nga pagpuno parehas sa gibug-aton sa pakete (5-50g).Ang espesipikong gibug-aton kinahanglan nga i-adjust on-site sumala sa gibug-aton sa pakete.

2. I-klik ang "Power ON," ug ang pagpili nga panid sa "Motor Setting" mo-pop up, ingon sa gipakita sa Figure5-15.Human nimo mapili ang matag motor nga on o off, i-klik ang "Enter" button sa standby.

hulagway18

Pagpuno sa motor:Pagsugod sa pagpuno sa motor.

Pagsagol sa motor:Pagsugod sa pagsagol sa motor.

Pagpakaon sa motor:Pagsugod sa pagpakaon sa motor.

3. I-klik ang "Formula" aron makasulod sa pahina sa pagpili ug setting sa pormula, sama sa gipakita saHulagway 5-16.Ang pormula mao ang lugar sa panumduman sa tanan nga mga klase sa pagbag-o sa pagpuno sa materyal sumala sa ilang tagsa-tagsa nga proporsyon, paglihok, gibug-aton sa pakete, ug mga kinahanglanon sa pagputos.Kini adunay 2 ka panid sa 8 nga mga pormula.Kung gipulihan ang materyal, kung ang makina kaniadto adunay rekord sa pormula sa parehas nga materyal, mahimo nimo dali nga tawagan ang katugbang nga pormula sa kahimtang sa produksiyon pinaagi sa pag-klik sa "Formula No."ug dayon i-klik ang "Kumpirma", ug dili na kinahanglan nga i-adjust pag-usab ang mga parameter sa device.Kung kinahanglan nimo nga magtipig og bag-ong pormula, pagpili og blangko nga pormula.I-klik ang "Formula No."ug dayon i-klik ang "Kumpirma" aron masulod kini nga pormula.Ang tanan nga sunod nga mga parametro ma-save sa kini nga pormula hangtod nga mopili ka sa ubang mga pormula.

hulagway19

Giunsa paggamit ang usa ka awtomatikong makina sa pagpuno sa auger?

Pagpangandam:

1) I-plug ang power socket, i-on ang power, ug i-turn ang "main power switch"

Clockwise sa 90 degrees aron ma-on ang power.

hulagway20

NOTA:Ang aparato eksklusibo nga nasangkapan sa tulo ka hugna nga lima ka wire nga socket, tulo ka hugna nga live line, usa ka hugna nga null nga linya, ug usa ka hugna nga linya sa yuta.Pag-amping nga dili mogamit sa sayup nga mga wiring o mahimo’g moresulta kini sa pagkadaot sa mga sangkap sa kuryente o pagkakuryenti.Sa dili pa magkonektar, siguroha nga ang suplay sa kuryente motakdo sa outlet sa kuryente ug nga ang chassis lig-on nga naka-ground.(Kinahanglang konektado ang usa ka linya sa yuta; kung dili, dili lamang kini dili luwas, apan hinungdan usab kini nga daghang pagpanghilabot sa signal sa pagkontrol.) Dugang pa, mahimo’g ipasadya sa among kompanya ang usa ka hugna o tulo ka hugna nga 220V nga suplay sa kuryente alang sa usa ka awtomatik nga makina sa pagputos.
2.Ipapilit ang gikinahanglan nga tinubdan sa hangin sa bukana: pressure P ≥0.6mpa.

hulagway2

3. I-rotate ang pula nga "Emergency stop" nga butones sa tuo nga tuo aron ang buton molukso.Dayon makontrol nimo ang suplay sa kuryente.

hulagway3

4.Una, buhata ang usa ka "function test" aron masiguro nga ang tanan nga mga sangkap anaa sa maayong pagkahan-ay sa pagtrabaho.

Pagsulod sa trabaho
1. I-on ang switch sa kuryente aron makasulod sa interface sa pagpili sa operasyon.

hulagway21

2. Ang Operation Selection interface adunay upat ka mga opsyon sa operasyon, nga adunay mosunod nga mga kahulugan:

Pagsulod:Pagsulod sa nag-unang operating interface, nga gipakita sa Figure 5-4.
Setting sa Parameter:Ibutang ang tanan nga teknikal nga mga parameter.
Function Test:Interface sa Function Test aron masusi kung naa ba sila sa normal nga kahimtang sa pagtrabaho.
Fault View:Tan-awa ang kahimtang sa sayup sa aparato.

Function ug setting:

Palihug basaha pag-ayo ang mosunod nga seksyon aron mahibal-an ang bahin sa mga nag-unang operasyon sa pormal nga pagputos ug mga setting sa parameter.

1. I-klik ang "Enter" sa Operation Selection Interface aron makasulod sa main operating interface.

hulagway22

Aktuwal nga Timbang: Ang numero nga kahon nagpakita sa kasamtangan nga aktuwal nga gibug-aton.

Target nga Timbang: I-klik ang numero nga kahon aron masulod ang gibug-aton nga sukdon.

Pagpuno sa Pulso: I-klik ang numero nga kahon aron masulod ang gidaghanon sa pagpuno sa mga pulso.Ang gidaghanon sa pagpuno sa mga pulso katimbang sa gibug-aton.Kon mas daghan ang gidaghanon sa mga pulso, mas dako ang gibug-aton.Ang servo motor sa auger filler adunay 1 rotation sa 200 pulses.Mahimong itakda sa tiggamit ang katugbang nga numero sa pulso sumala sa gibug-aton sa pakete.Mahimo nimong i-klik ang +-sa wala ug tuo sa kahon sa numero aron ma-fine-tune ang gidaghanon sa mga pulso sa pagpuno.Ang setting sa "maayong pagsubay" alang sa matag pagdugang ug pagkunhod mahimong ibutang sa "maayong pagsubay" ubos sa tracking mode.

Mode sa Pagsubay: duha ka mode.

Pagsubay: Niini nga paagi, kinahanglan nimong ibutang ang materyal sa pagputos nga napuno sa timbangan, ug ang sistema magtandi sa aktuwal nga gibug-aton sa target nga gibug-aton.Kung ang aktuwal nga gibug-aton sa pagpuno lahi sa gipunting nga gibug-aton, ang mga volume sa pulso awtomatiko nga motaas o mokunhod sumala sa mga volume sa pulso sa bintana sa numero.Ug kung walay pagtipas, walay pag-adjust.Ang mga volume sa pulso awtomatik nga mag-adjust sa matag higayon nga kini mapuno ug matimbang.

Walay Pagsubay: Kini nga mode wala maghimo ug awtomatikong pagsubay.Mahimo nimo nga arbitraryo nga timbangon ang materyal sa pagputos sa timbangan, ug ang mga volume sa pulso dili awtomatik nga mag-adjust.Kinahanglan nimo nga mano-mano nga i-adjust ang mga volume sa pulso aron mabag-o ang gibug-aton sa pagpuno.(Kini nga mode haom lamang alang sa lig-on kaayo nga packaging nga materyal. Ang pag-usab-usab sa mga pulso niini gamay ra, ug ang gibug-aton halos walay bisan unsa nga pagtipas. Kini nga paagi makatabang sa pagpalambo sa packaging efficiency.)

Package No.: Kini gigamit sa pagsubay sa mga numero sa pagputos. 

Ang sistema naghimo og usa ka rekord sa matag higayon nga kini mapuno.Kung kinahanglan nimo nga hawanan ang natipon nga numero sa pakete, i-klik ang "I-reset ang Counter,"ug ang ihap sa pagputos matangtang.

Formular:pagsulod sa panid sa pagpili ug pagtakda sa pormula, ang pormula mao ang lugar sa panumduman sa tanan nga mga klase sa pagbag-o sa pagpuno sa materyal sumala sa ilang tagsa-tagsa nga proporsyon, paglihok, gibug-aton sa pakete, ug mga kinahanglanon sa pagputos.Kini adunay 2 ka panid sa 8 nga mga pormula.Kung gipulihan ang materyal, kung ang makina kaniadto adunay rekord sa pormula sa parehas nga materyal, mahimo nimo dali nga tawagan ang katugbang nga pormula sa kahimtang sa produksiyon pinaagi sa pag-klik sa "Formula No."ug dayon i-klik ang "Kumpirma", ug dili na kinahanglan nga i-adjust pag-usab ang mga parameter sa device.Kung kinahanglan nimo nga magtipig og bag-ong pormula, pagpili og blangko nga pormula.I-klik ang "Formula No."ug dayon i-klik ang "Kumpirma" aron mosulod niini nga pormula.Ang tanan nga sunod nga mga parametro ma-save sa kini nga pormula hangtod nga mopili ka sa ubang mga pormula.

hulagway23

Timbang sa tare: isipa ang tanang gibug-aton sa timbangan nga mao ang gibug-aton sa tare.Ang window sa pagpakita sa gibug-aton karon nag-ingon nga "0."Aron mahimo ang gibug-aton sa pakete nga gibug-aton sa pukot, ang gawas nga pakete kinahanglan ibutang una sa aparato sa pagtimbang ug dayon tare.Ang gipakita nga gibug-aton mao ang net nga gibug-aton.

Motor ON/OFF: Pagsulod niini nga interface.
Mahimo nimong pilion nga mano-mano ang pag-abli o pagsira sa matag motor.Human maablihan ang motor, i-klik ang "Balik" nga buton aron makabalik sa nagtrabaho nga interface.

hulagway24

Pagsugod sa Pag-pack:Ubos sa kondisyon sa "motor ON," i-klik kini kausa ug ang pagpuno sa auger motuyok kausa aron mahuman ang usa ka pagpuno.
Sistema nga Nota:Gipakita niini ang sistema sa alarma.Kung andam na ang tanan nga sangkap, ipakita niini ang "System Normal".Kung ang aparato dili motubag sa naandan nga operasyon, susiha ang nota sa sistema.Troubleshoot sumala sa prompt.Kung ang motor karon dako kaayo tungod sa kakulang sa phase o langyaw nga mga butang nga nagbabag niini, ang "Fault Alarm" nga interface mo-pop up.Ang himan adunay function sa pagpanalipod sa motor gikan sa over-current.Busa, kinahanglan nimo nga pangitaon ang hinungdan sa sobra nga kasamtangan.Human lamang sa pag-troubleshoot sa makina nga kini makapadayon sa pagtrabaho.

hulagway25

Setting sa Parameter
Pinaagi sa pag-klik sa "Parameter Setting" ug pagsulod sa password 123789, mosulod ka sa parameter setting interface.

hulagway26

1. Pagpuno sa Setting
I-klik ang "Filling Setting" sa parameter setting interface aron makasulod sa filling setting interface.

hulagway27

Bilis sa pagpuno:I-klik ang kahon sa numero ug itakda ang katulin sa pagpuno.Kon mas dako ang numero, mas paspas ang gikusgon sa pagpakaon.I-set ang range gikan sa 1 hangtod 99. Girekomenda nga magbutang og range nga 30 hangtod 50.

PaglangankaniadtoPagpuno:Ang kantidad sa oras nga kinahanglan molabay sa dili pa pun-on.Girekomenda nga itakda ang oras tali sa 0.2 hangtod 1 s.

Sample Delay:Ang gidugayon sa panahon nga ang timbangan makadawat sa gibug-aton.

Aktuwal nga Timbang:Nagpakita sa gibug-aton sa timbangan niining higayona.

Sample nga gibug-aton: mao ang gibug-aton sa pinakabag-o nga pagputos.

1)Setting sa Pagsagol

I-klik ang "Mixing Setting" sa parameter setting interface aron makasulod sa mixing setting interface.

hulagway28

Pagpili tali sa manual ug awtomatik nga mode.

Awtomatiko:kini nagpasabut nga ang makina nagsugod sa pagpuno ug pagsagol sa parehas nga oras.Kung nahuman na ang pagpuno, ang makina awtomatikong mohunong sa pagsagol pagkahuman sa usa ka nalangan nga oras.Ang kini nga mode angay alang sa mga materyales nga adunay maayo nga pagka-likido aron mapugngan sila nga mahulog tungod sa pagsagol sa mga vibrations, nga moresulta sa usa ka dako nga pagtipas sa gibug-aton sa packaging.
manwal:kini magpadayon nga walay paghunong.Ang manwal nga pagsagol nagpasabot nga ikaw manwal nga magsugod o mohunong sa pagsagol.Magpadayon kini sa pagbuhat sa parehas nga aksyon hangtod nga mabag-o nimo ang paagi sa pag-set up niini.Ang kasagarang mode sa pagsagol kay manwal.
Paglangay sa pagsagol:Kung gamiton ang awtomatik nga mode, labing maayo nga itakda ang oras tali sa 0.5 ug 3 segundos.
Alang sa manwal nga pagsagol, ang oras sa paglangan dili kinahanglan nga itakda.
3) Setting sa Pagpakaon
I-klik ang "Feeding Setting" sa parameter setting interface aron makasulod sa feeding interface.

hulagway29

Mode sa Pagpakaon:Pagpili tali sa manual o awtomatik nga pagpakaon.

Awtomatiko:kung ang sensor sa lebel sa materyal dili makadawat bisan unsang signal sa panahon sa "Paglangan nga Oras" sa pagpakaon, hukman kini sa sistema ingon usa ka ubos nga lebel sa materyal ug magsugod sa pagpakaon.Ang naandan nga mode sa pagpakaon awtomatiko.

manwal:mano-mano ka magsugod sa pagpakaon pinaagi sa pagpaandar sa feeding motor.

Panahon sa paglangan:Kung ang makina awtomatik nga nagpakaon tungod kay ang materyal nag-usab-usab sa mga balud nga nag-agay sa panahon sa pagsagol, ang sensor nga lebel sa materyal usahay makadawat sa signal ug usahay dili.Kung wala’y oras sa paglangan sa pagpakaon, ang motor sa pagpakaon kanunay nga magsugod, nga mosangpot sa kadaot sa sistema sa pagpakaon.

4) Unscrambling Setting

I-klik ang "Unscrambling Setting" sa parameter setting interface aron makasulod sa unscrambling interface.

hulagway30

Mode:Pilia ang manwal o awtomatik nga unscrambling.

manwal:mano-mano kini nga giablihan o gisirhan.

Awtomatiko:kini magsugod o mohunong sumala sa preset nga mga lagda, nga mao, sa diha nga ang mga output lata nakaabot sa usa ka piho nga gidaghanon o hinungdan sa congestion, kini awtomatikong mohunong, ug sa diha nga ang gidaghanon sa mga lata sa conveyor mikunhod ngadto sa usa ka piho nga kantidad, kini awtomatikong magsugod.

Ibutang ang "Paglangan sa front blocking cans" pinaagi sa pag-klik sa numero nga kahon.

Ang can unscrambler awtomatikong mohunong sa diha nga ang photoelectric sensor nakamatikod nga ang jam oras sa mga lata sa conveyor milapas sa "Paglangan sa atubangan blocking lata."

Paglangan human sa mga lata nga nagbabag sa atubangan:I-klik ang kahon sa numero aron itakda ang "paglangan human sa mga lata nga nagbabag sa atubangan".Kung makuha ang jam sa mga lata sa conveyor, ang mga lata molihok sa unahan nga normal, ug ang can unscrambler awtomatikong magsugod pagkahuman sa paglangan.

Paglangan sa back-blocking nga mga lata:I-klik ang numero nga kahon aron itakda ang paglangan sa mga back-blocking lata.Ang usa ka back-can-blocking photo electricity sensor mahimong ma-install sa can discharging belt nga konektado sa likod nga tumoy sa kagamitan.Kung ang sensor sa elektrisidad sa litrato nakamatikod nga ang oras sa pag-jam sa mga giputos nga lata milapas sa "pagkalangan sa mga back blocking lata," ang makina sa pagputos awtomatikong mohunong sa pagtrabaho.

5) Setting sa Pagtimbang

I-klik ang "Setting sa Pagtimbang" sa interface sa setting sa parameter aron makasulod sa interface sa setting sa pagtimbang.

hulagway30

Pag-calibrate nga Timbang:Ang gibug-aton sa pagkakalibrate nagpakita sa 1000g, nga nagpaila sa gibug-aton sa gibug-aton sa pagkakalibrate sa sensor sa pagtimbang sa kagamitan.

Timbang nga Timbang: Kini ang aktuwal nga gibug-aton sa timbangan.

Mga lakang sa pag-calibrate

1) I-klik ang "Tare"

2) I-klik ang "Zero Calibration".Ang aktuwal nga gibug-aton kinahanglan nga ipakita nga "0", 3) Ibutang ang 500g o 1000g nga gibug-aton sa tray ug i-klik ang "load Calibration".Ang gipakita nga gibug-aton kinahanglan nga nahiuyon sa gibug-aton sa mga gibug-aton, ug ang pagkakalibrate magmalampuson.

4) I-klik ang "save" ug kompleto na ang calibration.Kung imong gi-klik ang "load calibration" ug ang aktuwal nga gibug-aton dili uyon sa gibug-aton, palihug pag-recalibrate sumala sa mga lakang sa ibabaw hangtod nga kini makanunayon.(Timan-i nga ang matag buton nga gi-klik kinahanglan nga pugngan sa labing menos usa ka segundo sa dili pa buhian).

6) Mahimo nga Positioning Setting

I-klik ang "Can Positioning Setting" sa parameter setting interface aron makasulod sa Can Positioning Setting interface.

hulagway32

Ang paglangan sa dili pa mapataas:I-klik ang kahon sa numero aron itakda ang "paglangan sa dili pa mapataas".Human mamatikdan ang lata sa photoelectric detector, pagkahuman niini nga oras sa paglangan, ang silindro molihok ug ibutang ang lata sa ubos sa pagpuno sa outlet.Ang oras sa paglangan gi-adjust sumala sa gidak-on sa lata.

Paglangan human sa Can Lift:I-klik ang kahon sa numero aron itakda ang oras sa paglangan.Pagkahuman niini nga oras sa paglangan, mahimo nimong ipataas ang silindro ug himuon ang mga pag-reset sa pag-angat.

Panahon sa pagpuno sa lata: ang gidugayon sa panahon nga ang garapon mahulog human kini mapuno.

Mahimo nga mogawas sa oras pagkahuman sa pagkahulog: Mahimo nga mogawas pagkahuman sa pagkahulog.

7) Pagbutang sa Alarm

I-klik ang "Alarm Setting" sa parameter setting interface aron makasulod sa alarm setting interface.

hulagway33

+ Pagtipas:Ang aktuwal nga gibug-aton mas dako pa kay sa target nga gibug-aton. Kung ang balanse molapas sa overflow, ang sistema maalarma.

-Pagtipas:ang aktuwal nga gibug-aton mas gamay kay sa target nga gibug-aton.Kung ang balanse molapas sa underflow, ang sistema maalarma.

Kakulang sa Materyal:A Ang lebel sa materyal nga sensor dili mobati nga materyal sa makadiyot.Pagkahuman niining "dili kaayo materyal" nga oras, mahibal-an sa sistema nga wala’y materyal sa hopper ug busa alarma.

Abnormal nga motor:Ang bintana mo-pop up kung adunay sayup nga mahitabo sa mga motor.Kini nga function kinahanglan kanunay nga bukas.

Abnormal nga seguridad:Para sa open-type nga mga hopper, kung ang hopper dili sirado, ang sistema mag-alarma.Ang mga modular hoppers wala niini nga function.

NOTA:Ang among mga makina gigama sumala sa mga kinahanglanon sa mga kostumer pinaagi sa higpit nga pagsulay ug pag-inspeksyon, apan sa proseso sa transportasyon, mahimo’g adunay pipila nga mga sangkap nga nahuyang ug naguba.Busa, sa pagkadawat sa makina, palihug susiha ang packaging ug ang nawong sa makina ingon man ang mga accessories aron masuta kung adunay kadaot nga nahitabo sa panahon sa transportasyon.Basaha pag-ayo kini nga mga instruksyon kung gigamit nimo ang makina sa unang higayon.Ang mga internal nga parameter kinahanglan nga itakda ug ipasibo sumala sa piho nga materyal sa pagputos.

5.Pagsulay sa Function

hulagway34

Pagpuno sa Pagsulay:I-klik ang "pagpuno sa pagsulay" ug ang servo motor magsugod.I-klik ang buton pag-usab ug ang servo motor mohunong.Kung ang servo motor dili molihok, palihug susiha ang interface sa pagpuno sa setting aron makita kung ang gitakda nga tulin sa paglihok gitakda.(Ayaw pagdali pag-ayo sa kaso sa spiral idling)

Pagsagol sa Pagsulay:I-klik ang "Mixing Test" nga buton para masugdan ang mixing motor.I-klik ang buton pag-usab aron ihunong ang pagsagol sa motor.Susiha ang operasyon sa pagsagol ug tan-awa kung husto ba kini.Ang direksyon sa pagsagol gipatuyok sa sunud-sunod nga orasan (kung dili husto, ang bahin sa kuryente kinahanglan ibalhin).Kung adunay kasaba o pagkabangga sa screw (kung naa, hunong dayon ug kuhaa ang sayup).

Pagsulay sa Pagpakaon:I-klik ang "Pagsulay sa Pagpakaon" ug magsugod ang motor sa pagpakaon.I-klik ang buton pag-usab ug ang feeding motor mohunong.

Pagsulay sa Conveyor:I-klik ang "conveyor test," ug magsugod ang conveyor.I-klik ang buton pag-usab ug kini mohunong.

Mahimong Unscramble Test:I-klik ang "Can unscramble test" ug magsugod ang motor.I-klik ang buton pag-usab ug kini mohunong.

Mahimo nga Positioning Test:I-klik ang "can positioning test", ang silindro naglihok, unya i-klik ang buton pag-usab, ug ang silindro gi-reset.

Mahimong Lift Test:i-klik ang "can lift test" ug ang silindro mobuhat sa aksyon.I-klik ang buton pag-usab, ug ang silindro i-reset.

Pagsulay sa balbula:I-klik ang "Valve Test" nga buton, ug ang bag-clamping cylinder molihok.I-klik ang buton pag-usab, ug ang silindro mo-reset.(Palihug ibaliwala kung wala ka kahibalo niini.)


Oras sa pag-post: Abr-07-2022